Institute of Information Theory and Automation

You are here

Bibliography

Journal Article

ALMARVI Execution Platform: Heterogeneous Video Processing SoC Platform on FPGA

Hoozemans J., Van Straten J., Viitanen T., Tervo A., Kadlec Jiří, Al-Ars Z.

: Journal of Signal Processing Systems for Signal Image and Video Technology vol.91, 1 (2019), p. 61-73

: 7H14004, GA MŠk

: ALMARVI, OpenCL, pocl, TTA, TCE, rVEX, ZYNQ

: 10.1007/s11265-018-1424-1

: http://library.utia.cas.cz/separaty/2019/ZS/kadlec-0499963.pdf

: https://link.springer.com/article/10.1007%2Fs11265-018-1424-1

(eng): The proliferation of processing hardware alternatives allows developers to use various customized computing platforms to run their applications in an optimal way. However, porting application code on custom hardware requires a lot of development and porting effort. This paper describes a heterogeneous computational platform (the ALMARVI execution platform) comprising of multiple communicating processors that allow easy programmability through an interface to OpenCL. The ALMARVI platform uses processing elements based on both VLIW and Transport Triggered Architectures (ρ-VEX and TCE cores, respectively). It can be implemented on Zynq devices such as the ZedBoard, and supports OpenCL by means of the pocl (Portable OpenCL) project and our ALMAIF interface specification. This allows developers to execute kernels transparently on either processing elements, thereby allowing to optimize execution time with minimal design and development effort.

: JC

: 20206

2019-01-07 08:39